数字逻辑电路设计实验:计时器/奇数骑

简介: 数字逻辑电路设计实验:计时器/奇数骑
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shiyan4 is
  port(rst,clk,en,ld:in std_logic;
  data:in std_logic_vector(3 downto 0);
  tc:out std_logic;
  count:out std_logic_vector(3 downto 0));
end shiyan4;
architecture mtimer of shiyan4 is
  signal mdata:std_logic_vector(3 downto 0);
  begin
    counting:process(clk,en,ld,rst)
      begin
      if(rst='0') then mdata<="0000";
      elsif (clk'event and clk='1') then
        if (ld='0') then mdata<=data;
        elsif(en='1') then
          if mdata="1001" then mdata<="0000";
          else mdata<=mdata+'1';
          end if;
        end if;
      end if;
  end process counting;
  count<=mdata;
    outt:process(mdata)
      begin
      if(mdata="1001") then tc<='1';
      else tc<='0';
      end if;
    end process outt;
end mtimer;


目录
相关文章
|
算法 C语言
如何用C语言轻松判断回文数?
如何用C语言轻松判断回文数?
|
算法
以太网CSMA/CD协议:通信原理、碰撞检测与退避机制深度解析
以太网CSMA/CD协议:通信原理、碰撞检测与退避机制深度解析
2082 1
|
前端开发 NoSQL Java
面试官:如何防止短信盗刷和短信轰炸?
面试官:如何防止短信盗刷和短信轰炸?
729 1
|
弹性计算 负载均衡 应用服务中间件
slb健康检查域名配置
slb健康检查域名配置
224 7
|
Linux 区块链 Python
Python实用记录(十三):python脚本打包exe文件并运行
这篇文章介绍了如何使用PyInstaller将Python脚本打包成可执行文件(exe),并提供了详细的步骤和注意事项。
586 1
Python实用记录(十三):python脚本打包exe文件并运行
|
供应链 安全 网络安全
区块链技术与网络安全:机遇与挑战
区块链技术与网络安全:机遇与挑战
471 2
|
编解码 人工智能 自然语言处理
魔搭社区每周速递(8.25-8.31)
326个模型、82个数据集、71个创新应用、5篇应用文章
魔搭社区每周速递(8.25-8.31)
|
机器人 芯片
ChatGPT提问技巧——对话提示
ChatGPT提问技巧——对话提示
1218 8
基于卡尔曼滤波的系统参数辨识matlab仿真
此程序采用卡尔曼滤波技术实现系统参数在线辨识,通过MATLAB 2022a仿真展现参数收敛过程、辨识误差,并比较不同信噪比下系统性能。卡尔曼滤波递归地结合历史估计与当前观测,优化状态估计。参数辨识中,系统参数被视为状态变量,通过迭代预测和更新步骤实现在线估计,有效处理了线性系统中的噪声影响。
350 12
|
网络协议 Linux 网络安全
在Linux中,如何将本地 80 端口的请求转发到 8080 端口?当前主机 IP 为10.0.0.104。
在Linux中,如何将本地 80 端口的请求转发到 8080 端口?当前主机 IP 为10.0.0.104。