效率工具RunFlow完全手册之局域网传输篇

简介: RunFlow局域网传输教程教你如何在内网安全快速地传输文件和同步剪贴板,避免公共平台的风险。启用局域网传输需在设置中开启相关功能,然后通过IPv4地址和端口建立连接。静态文件浏览服务允许共享只读文件,而建立连接则可用于双向文件传输和剪贴板同步。此外, RunFlow还支持与移动端、Web端的连接,尽管浏览器连接有一定限制。此功能确保了数据的私密性和高效传输。

效率工具RunFlow完全手册之局域网传输篇

本篇将向您介绍如何使用RunFlow在局域网(又称内网)内传输文件,同步剪贴板,无论是家庭局域网还是办公室局域网,都能轻松搞定文件传输以及剪贴板同步。

为什么不推荐使用微信、QQ、钉钉、飞书等传输文件,要使用局域网传输呢?1. 私密,文件和剪贴板都是您的内网中传输,不会连接至任何公网服务器,保证您数据的隐私性和安全性;2. 快速,正是因为在内网中传输,无需互联网环境,所以可以让传输变得更迅速。

启用局域网传输

局域网传输工具默认是没有启用的,要启用它我们需要跳转到内置插件的设置页面,向下滚动会看到一个叫 局域网传输 的功能,勾选它以启用局域网传输。

lan_settings_zhcn.png

如果您还不清楚如何打开我们的设置页面,可以先从我们的基础篇开始了解。

成功启用 局域网传输 后,输入 lan 打开功能页,界面如下:

lan_started_zhcn.png

设备名称左侧的小绿点表示服务启动成功,否则表示启动失败,失败的原因很有可能是端口被占用,建议您在 通用设置 页面修改Http端口,并重启应用程序。

静态文件浏览服务

静态文件浏览服务可以理解为您共享了一个只读的文件,同一网络中的其他用户可以浏览和下载您共享的文件。要启用静态文件浏览服务,首先我们需要勾选它,并设置一个需要共享的文件(通常是一个文件夹),设置成功后我们可以看到一个可访问的链接,用浏览器打开链接就可以浏览和下载文件。

lan_files_zhcn.png

lan_files_browse_zhcn.png

此方案可以实现向其他未安装RunFlow的设备传输文件,比如移动端或Linux设备。

建立连接以传输文件和剪贴板

要建立连接,我们首先需要知道对方设备的IPv4地址以及RunFlow启动HTTP服务的端口,在上方的第二张示例图片中,可以看到我们的界面已经展示了当前设备的IPv4地址及端口:192.168.31.223:8177

设备A请求连接设备B:

lan_req_conn_zhcn.jpg

设备B允许连接(当然您也可以拒绝):

lan_permit_conn_zhcn.png

建立连接后我们可以看到对方的设备名称以及IPv4地址,并设置相应权限(默认全部开启,您可以自定义设置:1. 接收文件,是否接收来自该设备的文件;2. 推送剪贴板,当剪贴板发生变化时,是否向该设备推送剪贴板;3. 接收剪贴板,是否接收来自该设备推送的剪贴板)。

lan_conn_zhcn.png

建立连接后,就可以愉快的传输文件以及同步剪贴板(文本、图片、文件都可以同步)啦。

与移动端、Web端以及其他未安装RunFlow的设备建立连接

在上方的第二张示例图片中,可以看到我们展示了一个可以访问的链接:http://192.168.31.223:8177/lan ,我们可以通过此链接使浏览器与RunFlow建立连接。

lan_web_req_conn_zhcn.png

lan_web_permit_conn_zhcn.png

注意通过浏览器建立连接的一些限制:1. 无法接收文件;2. 无法监听剪贴板,所以推送剪贴板是您的主动行为;3. 无法接收来自该设备的剪贴板推送,但是可以查看该设备当前的剪贴板内容。

结语

本篇向您介绍了如何使用我们提供局域网传输用具来传输文件以及同步剪贴板,以满足各种隐私数据的传输。

目录
相关文章
|
网络协议 网络架构
计算机网络实验(华为eNSP模拟器)——第八章 动态主机配置协议(DHCP)
计算机网络实验(华为eNSP模拟器)——第八章 动态主机配置协议(DHCP)
计算机网络实验(华为eNSP模拟器)——第八章 动态主机配置协议(DHCP)
|
4月前
|
网络协议 算法 网络虚拟化
【计算机网络】第三章 数据链路层(点对点协议 媒体介入控制)
【计算机网络】第三章 数据链路层(点对点协议 媒体介入控制)
59 0
Qt开发网络嗅探器02
Qt开发网络嗅探器02
|
1月前
|
存储 运维 监控
Qt开发网络嗅探器01
Qt开发网络嗅探器01
|
1月前
|
网络协议 容器
Qt开发网络嗅探器03
Qt开发网络嗅探器03
|
3月前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
110 1
|
3月前
|
监控 安全 网络协议
高效监控局域网,这些局域网监控软件太牛了
发现超实用局域网监控软件:WorkWin能实时监控员工活动,提高生产力;Nagios确保网络设备和服务器稳定;Wireshark则擅长数据包捕获与网络流量分析。通过精准权限控制、时间统计和异常检测,提升工作效率,保障网络安全。轻松远程管理,随时随地掌握公司运营状况。
120 3
|
4月前
|
Web App开发 监控 JavaScript
WebRTC力量:局域网屏幕监控软件通信代码
在当今数字化社会中,局域网屏幕监控软件的需求日益增长。为了实现这一目标,利用WebRTC技术成为一种常见选择。WebRTC(Web Real-Time Communication)是一项支持浏览器之间实时音频、视频和数据传输的开放标准。本文将深入研究WebRTC的力量,重点关注局域网屏幕监控软件的通信代码。
250 0
|
网络架构
计算机网络实验笔记(一)网线端接与网络设备入门配置
本文讲述网线端接与网络设备入门配置的相关事项。
353 0
|
网络虚拟化 数据安全/隐私保护
计算机网络实验(华为eNSP模拟器)——第十二章 VLAN集中管理协议(VCMP)
计算机网络实验(华为eNSP模拟器)——第十二章 VLAN集中管理协议(VCMP)
计算机网络实验(华为eNSP模拟器)——第十二章 VLAN集中管理协议(VCMP)