FPGA图像处理之边缘检测算法的实现

简介: 边缘检测是图像处理和计算机视觉中的基本问题,边缘检测的目的是标识数字图像中亮度变化明显的点。图像属性中的显著变化通常反映了属性的重要事件和变化。这些包括(i)深度上的不连续、(ii)表面方向不连续、(iii)物质属性变化和(iv)场景照明变化。边缘检测是图像处理和计算机视觉中,尤其是特征提取中的一个研究领域。
  1. 背景知识

边缘检测是图像处理和计算机视觉中的基本问题,边缘检测的目的是标识数字图像中亮度变化明显的点。图像属性中的显著变化通常反映了属性的重要事件和变化。这些包括(i)深度上的不连续、(ii)表面方向不连续、(iii)物质属性变化和(iv)场景照明变化。边缘检测是图像处理和计算机视觉中,尤其是特征提取中的一个研究领域。

  1. 边缘检测算子

一阶:Roberts Cross算子,Prewitt算子,Sobel算子,Kirsch算子,罗盘算子;二阶:Marr-Hildreth,在梯度方向的二阶导数过零点,Canny算子,Laplacian算子。今天我们要讲的是基于Sobel算子的边缘检测的FPGA算法的实现。

  1. Sobel算子实现

Sobel算法是像素图像边缘检测中最重要的算子之一,在机器学习、数字媒体、计算机视觉等信息科技领域起着举足轻重的作用。在技术上,它是一个离散的一阶差分算子,用来计算图像亮度函数的一阶梯度之近似值。在图像的任何一点使用此算子,将会产生该点对应的梯度矢量或是其法矢量

Soble边缘检测算法比较简,实际应用中效率比canny边缘检测效率要高,但是边缘不如Canny检测的准确,但是很多实际应用的场合,sobel边缘却是首选,尤其是对效率要求较高,而对细纹理不太关心的时候。

Soble边缘检测通常带有方向性,可以只检测竖直边缘或垂直边缘或都检测。

-1

0

+1

-2

0

+2

-1

0

+1

Sobel算子 x方向

+1

+2

+1

0

0

0

-1

-2

-1

    Y方向  

(i-1,j-1)

( i,j-1)

(i+1,j-1)

(i-1,j)

(i,j)

(i+1,j)

(i-1,j+1)

(i,j+1)

(i+1,j+1)

原始图像P

实现步骤:

 1.Gx = P ★Sobelx   -- 原始图像与Sobel算子X方向卷积;

 2.  Gy= P★Sobely   -- 原始图像与Sobel算子Y方向卷积;

3.![image.png](https://ucc.alicdn.com/pic/developer-ecology/dnfmyqknx5cmo_2209a5e9192e4d3585af0e8f46680718.png)

  1. 阈值比较形成边缘查找后的二值图像。

  2. C语言实现

/* Sobel template

a00 a01 a02

a10 a11 a12

a20 a21 a22

*/

unsigned char a00, a01, a02;

unsigned char a10, a11, a12;

unsigned char a20, a21, a22;

void MySobel(IplImage* gray, IplImage* gradient)

{
   

CvScalar color ;

for (int i=1; i<gray->height-1; ++i)

{
   

for (int j=1; j<gray->width-1; ++j)

{
   

a00 = cvGet2D(gray, i-1, j-1).val[0];

a01 = cvGet2D(gray, i-1, j).val[0];

a02 = cvGet2D(gray, i-1, j+1).val[0];

a10 = cvGet2D(gray, i, j-1).val[0];

a11 = cvGet2D(gray, i, j).val[0];

a12 = cvGet2D(gray, i, j+1).val[0];

a20 = cvGet2D(gray, i+1, j-1).val[0];

a21 = cvGet2D(gray, i+1, j).val[0];

a22 = cvGet2D(gray, i+1, j+1).val[0];

// x方向上的近似导数  卷积运算

double ux = a20 * (1) + a10 * (2) + a00 * (1)

+ (a02 * (-1) + a12 * (-2) + a22 * (-1));

// y方向上的近似导数  卷积运算

double uy = a02 * (1) + a01 * (2) + a00 * (1)

+ a20 * (-1) + a21 * (-2) + a22 * (-1);

color.val[0] = sqrt(ux*ux + uy*uy);

cvSet2D(gradient, i, j, color);

}

}

}

//注释:该程序需要在安装Opencv软件下运行。

  1. Matlab边缘检测的实现
ps=imread('lena.jpg'); %读取图像

subplot(1,3,1)

imshow(ps);

title('原图像');

ps=rgb2gray(ps);

[m,n]=size(ps); %用Sobel微分算子进行边缘检测

pa = edge(ps,'sobel');

subplot(1,3,2);

imshow(pa);

title('Sobel边缘检测得到的图像');

结果:

效果图

  1. FPGA实现

    硬件平台:小梅哥AC620开发板,4.3英寸TFT显示屏

    软件:Quartus 13.0

我将在FPGA程序中注释,表示实现过程。我们使用的图像为480x272。

/*

Filename    : Sobel.v

Compiler    : Quartus II 13.0

Description: implement Sobel Edge Detector

Release     :

*/



modulesobel (

  input            iCLK,

  input            iRST_N,

  input     [7:0] iTHRESHOLD,

  input            iDVAL,

  input     [9:0] iDATA,

  output reg       oDVAL,

  output reg [9:0] oDATA

);

//----------------------------------------------------

// 将Sobel算子换算成有符号数(signed)

//----------------------------------------------------

// maskx

parameterX1 = 8'hff, X2 = 8'h00, X3 = 8'h01;

parameterX4 = 8'hfe, X5 = 8'h00, X6 = 8'h02;

parameterX7 = 8'hff, X8 = 8'h00, X9 = 8'h01;



// masky

parameterY1 = 8'h01, Y2 = 8'h02, Y3 = 8'h01;

parameterY4 = 8'h00, Y5 = 8'h00, Y6 = 8'h00;

parameterY7 = 8'hff, Y8 = 8'hfe, Y9 = 8'hff;



wire  [7:0] Line0;

wire  [7:0] Line1;

wire  [7:0] Line2;



wire  [17:0] Mac_x0;

wire  [17:0] Mac_x1;

wire  [17:0] Mac_x2;



wire  [17:0] Mac_y0;

wire  [17:0] Mac_y1;

wire  [17:0] Mac_y2;



wire  [19:0] Pa_x;

wire  [19:0] Pa_y;



wire  [15:0] Abs_mag;

//---------------------------------------------

// 实现3x3矩阵原始图像 P

//---------------------------------------------

LineBufferLineBuffer_inst (

  .clken(iDVAL),

  .clock(iCLK),

  .shiftin(iDATA[9:2]),

  .taps0x(Line0),

  .taps1x(Line1),

  .taps2x(Line2)

);

//--------------------------------------------

// Gx = P★Sobelx

// x方向卷积运算实现

//---------------------------------------------

MAC_3 x0(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line0),

  .datab_0(X9),

  .datab_1(X8),

  .datab_2(X7),

  .result(Mac_x0)

);



MAC_3 x1(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line1),

  .datab_0(X6),

  .datab_1(X5),

  .datab_2(X4),

  .result(Mac_x1)

);



MAC_3 x2(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line2),

  .datab_0(X3),

  .datab_1(X2),

  .datab_2(X1),

  .result(Mac_x2)

);

PA_3 pa0(

  .clock(iCLK),

  .data0x(Mac_x0),

  .data1x(Mac_x1),

  .data2x(Mac_x2),

  .result(Pa_x)

);



//---------------------------------------------------

// Gy =P★Sobely

// y方向卷积运算的实现

//---------------------------------------------------

// Y

MAC_3 y0(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line0),

  .datab_0(Y9),

  .datab_1(Y8),

  .datab_2(Y7),

  .result(Mac_y0)

);



MAC_3 y1(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line1),

  .datab_0(Y6),

  .datab_1(Y5),

  .datab_2(Y4),

  .result(Mac_y1)

);



MAC_3 y2(

  .aclr3(!iRST_N),

  .clock0(iCLK),

  .dataa_0(Line2),

  .datab_0(Y3),

  .datab_1(Y2),

  .datab_2(Y1),

  .result(Mac_y2)

);

PA_3 pa1(

  .clock(iCLK),

  .data0x(Mac_y0),

  .data1x(Mac_y1),

  .data2x(Mac_y2),

  .result(Pa_y)

);

//-----------------------------------------------

// 得到G

//-----------------------------------------------

SQRTsqrt0 (

  .clk(iCLK),

  .radical(Pa_x * Pa_x + Pa_y * Pa_y),

  .q(Abs_mag)

);

//-------------------------------------------------

// 阈值比较

//-------------------------------------------------

always@(posedgeiCLK, negedge iRST_N) begin

  if (!iRST_N)

    oDVAL<= 0;

  else begin

    oDVAL <= iDVAL;



    if (iDVAL)

      oDATA <= (Abs_mag > iTHRESHOLD) ? 0: 1023;

    else

      oDATA <= 0;

  end

end



endmodule

IP设置

image.png

LineBufferIP设置

image.png

MAC_3 IP的设置

image.png

PA_3 IP的设置

FPGA基于Sobel算子图像边缘检测的实现结果:

image.png

lena原图

image.png

阈值3

image.png

阈值5

image.png

阈值7

  1. 引用文档

1---------------------------------------------------------------------百度百科

2基于FPGA的Sobel边缘检测的实现--------------------------------NingHeChuan

相关文章
|
3月前
|
算法 计算机视觉
图像处理之积分图应用四(基于局部均值的图像二值化算法)
图像处理之积分图应用四(基于局部均值的图像二值化算法)
513 0
|
3月前
|
监控 算法 图计算
图像处理之积分图应用三(基于NCC快速相似度匹配算法)
图像处理之积分图应用三(基于NCC快速相似度匹配算法)
59 0
|
2月前
|
算法 异构计算
FPGA强化(10):基于Sobel算法的边缘检测(二)
FPGA强化(10):基于Sobel算法的边缘检测(二)
41 0
|
2月前
|
算法 异构计算
FPGA强化(10):基于Sobel算法的边缘检测(一)
FPGA强化(10):基于Sobel算法的边缘检测
21 0
|
4月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
128 7
|
4月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
165 2
|
4月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
4月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
50 1
|
2月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
65 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
2月前
|
C语言 芯片 异构计算
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法

热门文章

最新文章